美文网首页
利用FPGA实现SVPWM的方法(1)

利用FPGA实现SVPWM的方法(1)

作者: Priscillaaaaa | 来源:发表于2017-10-10 16:23 被阅读0次

    苦苦思索好久也还没能完整地编出一个程序,所以在这里慢慢整理一下,说不定就能找到正确的思路。

    首先先简单介绍一下SVPWM的基本原理


    1.什么是SVPWM

    SVPWM控制策略是依据变流器空间电压(电流)矢量切换来控制变流器的一种新颖思路的控制策略,采用逆变器空间电压切换以获得准圆形的旋转磁场,从而再不高的开关频率下使得交流电机获得较SPWM算法更好的控制性能。

    SVPWM算法实际上是对应于交流电机中的三相电压源逆变器功率器件的一种特殊的开关触发顺序和脉宽大小的组合,这种开关触发顺序和组合将在定子线圈中产生三相互差120度的电角度,失真较小的正弦波电流波形。

    SVPWM主要的优点有:

    (1)优化谐波程度高,消除谐波效果比SPWM好,实现容易,同时能够提高电压的利用率。

    (2)提高了电压源逆变器的直流电压利用率和电机动态响应速度,同时减小了电机的转矩脉动等缺点。

    (3)SVPWM比较适合于数字化控制系统。

    2.变换的一些简单推导

    首先设三相的3个标量为xa,xb,xc, 同时满足xa+xb+xc=0,那么可以引入变换

    Xout=xa+axb+a^2xc

    其中 a=cos(2pi/3)+jsin(2pi/3)

    a^2=cos(-2pi/3)+jsin(-2pi/3)

    Xout的实部和虚部分别可以表示为:

    ReXout=xa+xbcos(2pi/3)+xccos(-2pi/3)

    ImXout=xbsin(2pi/3)+xcsin(-2pi/3)

    相关文章

      网友评论

          本文标题:利用FPGA实现SVPWM的方法(1)

          本文链接:https://www.haomeiwen.com/subject/cakvyxtx.html