Lichee Tang学习笔记

作者: MoHenHM | 来源:发表于2018-08-24 20:58 被阅读484次

    一、 Linux下软件设置

    Lichee Tang是一块很便宜的FPGA开发板,使用了anlogic的EG4S20BG256芯片,主要被计划用于RISC-V架构的学习。

    抄一点特性过来,图片就不贴了:

    • 20K 逻辑单元(LUT4/LUT5混合架构),约130KB SRAM,内置32bit位宽 64MBit SDRAM,丰富的LVDS引脚,内置12-bit 1MSPS ADC
    • FPC40P座,可接 RGB LCD,VGA转接板,高速DAC模块
    • FPC24P座,可接DVP摄像头,高速ADC模块
    • I2C接口的电阻触摸屏控制器,配合RGB LCD使用
    • 3路DCDC电源芯片,稳定高效的电源供应,Bank0 IO电平独立可调
    • FPGA 配置Flash,8Mbit,用户Flash,nor/nand可选
    • 板载FPGA JTAG下载调试器
    • RGB LED
    • 相邻插针LVDS等长引出,引出8路GCLK,全引出8路ADC
    • 双排插针间距900mil, 兼容面包板开发
    • 半孔引出额外 40 IO,整板引出 130+ IO

    蜂鸟核心已在荔枝糖上移植好,在线指南上提供bitstream下载,github上也可以clone

    1. 主机环境

    主机使用Archlinux,非虚拟机,桌面环境为XFCE4。

    2. Tang Dynasty安装

    • 解压安装

    下载TD_RELEASE_JULY2018_RHEL.rar,解压到/opt路径,给bin路径下的TD文件添加“x”权限。然后在桌面右击创建启动器,如图所示:

    xfce4添加TD启动器
    可以选择更改图标,最后点击创建即可。
    软件也可以运行在terminal下。
    • 配置udev rules,解决权限问题

    荔枝糖插入USB口上电,系统dmesg显示如下:


    系统dmesg

    和st-link一样,普通用户是没有权限使用这个设备的,在路径/etc/udev/rules.d下新建49-anlogic_jtag.rules,可解决此问题。

    # stm32 nucleo boards, with onboard st/linkv2-1
    # ie, STM32F0, STM32F4.
    # STM32VL has st/linkv1, which is quite different
    
    SUBSYSTEMS=="usb", ATTRS{idVendor}=="0547", ATTRS{idProduct}=="1002", \
        MODE:="0666", \
        SYMLINK+="anlogic_jtag_%n"
    
    # If you share your linux system with other users, or just don't like the
    # idea of write permission for everybody, you can replace MODE:="0666" with
    # OWNER:="yourusername" to create the device owned by you, or with
    # GROUP:="somegroupname" and mange access using standard unix groups.
    
    

    没看错,是从stlink的rules改来的,把idVendore和cidProduct改掉就行了。
    如果你还买了ft2232d调试器,同样也可以这么改,这里就不详说了。

    然后以普通用户身份运行TD,点击Download,应该就可以识别到芯片了。


    芯片识别
    • TD快速教程

    虽然IDE界面是英文的,但是软件使用手册还是中文的,在help菜单栏下还有芯片的数据手册,整体界面还是很简洁明了的。


    软件使用说明文档

    在github上clonen一份项目:

    git clone https://github.com/Lichee-Pi/Tang_E203_Mini.git
    

    在下图红框位置右击,打开一个已有项目:


    打开已有项目1

    或者在菜单栏选择“Project”打开。

    打开已有项目2

    软件接下来会自动读取verilog源文件并在左侧层次导航窗口显示出来。

    其他新建文件就不多说明了,见下图说明:


    向项目中添加源文件

    3. 测试

    一般步骤

    • 编写源文件,verilog HDL,VHDL或者其他硬件语言
    • 添加用户约束,包括物理约束和时序约束
    • 各类优化,生成位流文件
      在TD里面只要点击“HDL2bit”,就能自动完成。

    生成蜂鸟BitStream文件

    • 打开从github下载的修改好的工程,前文已提及
    • 菜单栏Process -> Run,或工具栏的图标,或者左侧HDL2Bit Flow
    生成bitstream

    左侧HDL2Bit可以分步进行,一个流程一个流程地点击。
    左下可查看设计总结,双击对应位置会在新的窗口显示。
    整个过程需要一点时间和运行内存。


    Physical Summary

    IDE最下方的console显示过程,还可以切换至Error和Warning窗口。
    在菜单栏Tool下级,还有其他工具,比如原理图查看器,芯片查看器等等,可以参考软件使用手册第九章 工具集

    下载

    板子接上线,插入电脑USB口,注意下前文说的下载器权限问题,应该会自动识别到芯片。
    先点击Add添加文件,选择下载方式和速度,JTAG模式掉电会丢失,PROGRAM FLASH表示下载到板载SPI FLASH中,一直存在,但是下载速度应该会慢一些。
    最后点Run。


    下载

    [完]

    相关文章

      网友评论

        本文标题:Lichee Tang学习笔记

        本文链接:https://www.haomeiwen.com/subject/fvbobftx.html