美文网首页
最简单的uvm 环境 hello world

最简单的uvm 环境 hello world

作者: Poisson_Lee | 来源:发表于2020-03-02 10:58 被阅读0次

    仿真命令
    vcs -R -ntb_opts uvm uvm_test.sv

    vcs -R -ntb_opts uvm-1.1 uvm_test.sv

    文件uvm_test.sv

    program uvm_test();
    import uvm_pkg::*;
    initial begin
    `uvm_info("uvm_hw", "uvm, hello world!", UVM_NONE);
    end
    endprogram
    

    相关文章

      网友评论

          本文标题:最简单的uvm 环境 hello world

          本文链接:https://www.haomeiwen.com/subject/gchpkhtx.html