美文网首页
一.EP2C8Q208 FPGA开篇(点亮LED灯)

一.EP2C8Q208 FPGA开篇(点亮LED灯)

作者: wit_yuan | 来源:发表于2017-12-01 16:23 被阅读0次

    1. 原理图

    LED灯原理图 Altera CPU与外设连接图 LED2、LED3连线图 引脚分配图

    2. 程序与分析

    按照原理图所示,我们需要给LED0-LED4高电平,才能点亮LED0~LED4。

    根据原理图,我们可以做一个简单的verilog程序,只负责点亮led灯,一方面可以熟悉verilog语法,一方面验证整个项目流程。

    查看原理图,由于我的fpga板子上有一个buzzer,所有我将buzzer添加到整个工程中了。


    buzzer电路 buzzer引脚

    首先,新建verilog工程,如下图所示:


    新建工程

    建立完整个工程之后,可以看到如下的工作区间:


    工作区间

    之后,我们可以写一个简单的程序,如下所示:


    程序源码
    
    module led_module(
        led0,led1,led2,led3,BEEPER
    );
    
    output led0;
    output led1;
    output led2;
    output led3;
    output BEEPER;
    
    assign led0=1;
    assign led1=1;
    assign led2=0;
    assign led3=0;
    
    assign BEEPER = 1;
    
    endmodule
    

    通过这个verilog程序,就可以完成点亮led0和led1的灯了。设置BEEPER=1是为了让buzzer不响。

    整个pin planer如下所示:


    pin planer

    相关文章

      网友评论

          本文标题:一.EP2C8Q208 FPGA开篇(点亮LED灯)

          本文链接:https://www.haomeiwen.com/subject/jqrwbxtx.html