美文网首页
system verilog 数据结构(转)

system verilog 数据结构(转)

作者: 嬉笑的皮皮虾 | 来源:发表于2020-12-22 15:43 被阅读0次

    转自systemverilog学习(4)动态数组

    本节主要内容:动态数组,队列,联合数组,数组基本操作,结构体类型,枚举类型

    一:动态数组

    1:基础
    在run-time才知道元素个数,在compile-time不知道,可以在仿真的时候再确定元素个数

    2:表示

      data_type name_of_dynamic_array[];
    
      name_of_ dynamic_array = new[number of elements];
    
      实例:int dyn[]; dyn = new[5];dyn.delete();
    

    3:可将固定数组赋值给动态数组,要求是元素个数相同

    二:队列(先进先出)

    1:基础知识
      可插入,删除,sort,search,push,pop,add,remove;可将固定数组或者动态数组赋给队列

    2:表示

      data_type queue_name[$] = {..} //队列赋值时大括号前面不加单引号
      实例:int b[$] = {3,4}; //{3,4}
         b.insert(1,1);   //{3,1,4} 在第一个元素后面添加1
         b.delete(1);  //{3,4} 删除元素1
         b.push_front(6) ; //{6,3,4}
         j = b.pop.back;  //{6,3}, j = 4
    

    三:联合数组
    1:基础知识
      充分利用内存里的离散空间,不连续空间;
      索引值可以为整型,字符型,一维数组

    2:表示

      data_type associative_array_name[*/string]
    

    3:示例

    image

    在内存中的存储

    image

    说明:标准数组存储时,所有的存储器都用到了;联合数组使用内存时,稀疏。

    4:操作
      遍历(foreach),first,next,prev,delete,exits

    四:数组的操作

    1:算术运算
      sum,product,and,or and xor
      例如下面是sum举例:

       image

    说明:on.sum返回on的位数,即一位,即值1(5个1相加取一位);将on.sum赋给位宽为8bit的summ,则返回值5。

    2:sort,rsort(反过来排序),reverse,shuffle(打乱排序),find_first,find_first_with_index,unique(得到元素不重复的数组)

    image
        //find_index with (item > 3); //元素大于3的索引值
    

    3:sum的扩展用法

    image

    //d.sum(x) with ((x>7)x); //99+8*8

    五:总结
    Fixed Arrays: 在compile time时知道size;连续内存存放,支持多维
    Dynamic Arrays:run time时得到size;连续内存;
    Queues Arrays:FIFO/Stack
    Associative Arrays:离散数据内存,索引可以为数字或者字符串;用于hash

    六:结构体
      将变量放在一起,比如总线协议放在结构体里;默认是unpacked存放
    1:示例

    image

    使用:Instruction_Word.address = 24'hF00000;

    2:packed存放(加入packed关键字)

    image

    第一个数据放在内存的左侧。

    七:枚举类型
    1:表示
      enum {red,green.blue} RGB //red = 0,green = 1,blue = 2

    2:枚举值类型
      显式指定枚举值 enum{one = 1, five = 5, ten = 10} state;
      
    部分显式指定值

    image
    3:枚举指定类型
      enum bit {false,true} Boolean;
      enum logic [1:0] {WAITE,LOAD,READY} state;
      enum logic [1:0] {
        WAITE=3'b001,
        LOAD=3'b010,
        READY=3'b100} state;  //error;因为枚举类型是两位,赋值超过两位
      emum logic {on = 1'b1,OFF=1'bz} out;
    

    相关文章

      网友评论

          本文标题:system verilog 数据结构(转)

          本文链接:https://www.haomeiwen.com/subject/jxysnktx.html