美文网首页微机原理-Proteus
【投稿】- 3.3 四位移位寄存器

【投稿】- 3.3 四位移位寄存器

作者: 李晓璇 | 来源:发表于2017-04-29 17:42 被阅读23次

    【作者】: 0137_李晓璇; 0128_吕雪淳;

    3.3.1 使用元件简介


    74LS74

         74LS74是一个双D触发器,可用作寄存器,移位寄存器等。

         在实验中74LS74作为四位移位寄存器,共用了四片。

    LOGICSTATE 调试工具 时钟脉冲

    3.3.2 仿真电路图

    连接如图所示:


    左移寄存器

    3.3.3 仿真结果及分析

    本次实验仿真左移寄存器,其简化原理图如下图:

    左移寄存器

       当Din=1而送至最右边的第1位时,D0即为1,当CLK的正前沿到来时,Q0即等于1。同时第2位的D1也等于1。当CLK第2个正前沿到达时,Q1也等于1。结果可得下列的左移过程:

        CLK前沿未到   Q=Q3Q2Q1Q0=0000

        第1个前沿来到    Q=1000

        第2个前沿来到   Q=0011

    第3个前沿来到  Q=0111

    第4个前沿来到    Q=1111

    第5个前沿来到,如此时Din仍为1 ,则Q不变,Q=1111

    当Q=1111之后,改变Din,使Din=0,则结果将是把0逐位左移

    第1个前沿来到    Q=1110

    第2个前沿来到    Q=1100

    第3个前沿来到    Q=1000

    第4个前沿来到    Q=0000

    相关文章

      网友评论

      本文标题:【投稿】- 3.3 四位移位寄存器

      本文链接:https://www.haomeiwen.com/subject/msdzzttx.html