美文网首页
uvm_root print_topology()

uvm_root print_topology()

作者: li_li_li_1202 | 来源:发表于2021-12-20 22:40 被阅读0次

    uvm_root.print_topolgy()

    enable_print_topology print_topology

    最简单的使用方法是将enable_print_topology修改成1.

    打印结果
    • 并不是从uvm_top开始打印的,而是从它的下一级,uvm_top只能有一个。

    当然你的实际的环境不能修改源代码。可以在外部设置uvm_root.enable_print_topology = 1来调print_topology().

    如何添加uvm_top.enable_print_topology = 1这句话的位置?

    • end_of_elaboration_phase之前,如果是放在之后,比如说run_phase,并不能够打印出层级。
    • build_phase之后,因为UVM 树,要有uvm_top的树根。
    • 推荐放在connect_phase里面。

    还有一种方法:
    在end_of_elaboration_phase之后,调用uvm_top.print_topolgy()函数。

    相关文章

      网友评论

          本文标题:uvm_root print_topology()

          本文链接:https://www.haomeiwen.com/subject/rcqtqrtx.html