`ifndef UVM_PKG_SV
`define UVM_PKG_SV
`include "uvm_macros.svh"
package uvm_pkg;
`include "dpi/uvm_dpi.svh"
`include "base/uvm_base.svh"
`include "tlm1/uvm_tlm.svh"
`include "comps/uvm_comps.svh"
`include "seq/uvm_seq.svh"
`include "tlm2/uvm_tlm2.svh"
`include "reg/uvm_reg_model.svh"
endpackage
`endif
import uvm_pkg::*;
网友评论