美文网首页
1. uvm_pkg.sv

1. uvm_pkg.sv

作者: Poisson_Lee | 来源:发表于2020-02-17 14:37 被阅读0次
    `ifndef UVM_PKG_SV
    `define UVM_PKG_SV
    
    `include "uvm_macros.svh"
    
    package uvm_pkg;
    
      `include "dpi/uvm_dpi.svh"
      `include "base/uvm_base.svh"
      `include "tlm1/uvm_tlm.svh"
      `include "comps/uvm_comps.svh"
      `include "seq/uvm_seq.svh"
      `include "tlm2/uvm_tlm2.svh"
      `include "reg/uvm_reg_model.svh"
    
    endpackage
    
    `endif
    

    import uvm_pkg::*;

    相关文章

      网友评论

          本文标题:1. uvm_pkg.sv

          本文链接:https://www.haomeiwen.com/subject/yhvbfhtx.html