美文网首页嵌牛IT观察
FPGA中的三种差分信号缓冲器

FPGA中的三种差分信号缓冲器

作者: 孙健强 | 来源:发表于2019-10-23 17:31 被阅读0次

                                                       FPGA中的三种差分信号缓冲器

    姓名:孙健强

    学号:19021210841

    原创文章

    【嵌牛导读】一般在FPGA信号处理板中,为了提升信号的抗干扰能力,ADC和FPGA的连接以及FPGA和DAC的连接都使用的是差分接口,而在FPGA内部进行信号处理时需要将差分输入转换为单端信号或者将单端信号转换为差分信号输出。

    【嵌牛鼻子】XILINX FPGA、VIVADO、ADC、 DAC、差分信号缓冲器

    【嵌牛提问】怎样在verilog程序中配置三种差分信号缓冲器?

    【嵌牛正文】:

    三种差分信号缓冲器:

    这三种差分信号缓冲器分别是:IBUFDS、OBUFDS和IBUFGDS,它们用于不同电平接口之间的缓冲和转接。

    IBUFDS 是差分输入的时候用,OBUFDS是差分输出的时候用,而IBUFGDS则是时钟信号专用的输入缓冲器。

    下面详细说明:

    1.IBUFDS(Differential Signaling Input Buffer with Selectable I/O Interface)

    IBUFDS是一个输入缓冲器,支持低压差分信号(如LVCMOS、LVDS等)。在IBUFDS中,一个电平接口用两个独特的电平接口(I和IB)表示。一个可以认为是主信号,另一个可以认为是从信号。主信号和从信号是同一个逻辑信号,但是相位相反。

    IBUFDS输入缓冲器真值表

    Verilog实例化程序:

    IBUFDS instance_name(

         .O (user_O),

         .I (user_I),

         .IB (user_IB)

    );

    2.OBUFDS(Differential Signaling Output Buffer with Selectable I/O Interface)

    OBUFDS是一个输出缓冲器,支持低压差分信号。OBUFDS隔离出了内电路并向芯片上的信号提供驱动电流。它的输出用O和OB两个独立接口表示。一个可以认为是主信号,另一个可以认为是从信号。主信号和从信号是同一个逻辑信号,但是,相位相反。

    OBUFDS输出缓冲器真值表

    Verilog实例化程序:

    OBUFDS instance_name(

          .O (user_O),

          .OB (user_OB),

          .I (user_I)

    );

    3.IBUFGDS(Dedicated Differential Signaling Input Buffer with Selectable I/O Interface)

    IBUFGDS是IBUFG的差分形式,当信号从一对差分全局时钟管脚输入时,必须使用IBUFGDS作为全局时钟输入缓冲。BUFG是全局缓冲,它的输入是IBUFG的输出,BUFG的输出到达FPGA内部的IOB、CLB、选择性块RAM的时钟延迟和抖动最小。IBUFGDS是一个连接时钟信号BUFG或DCM的专用的差分信号输入缓冲器。在IBUFGDS中,一个电平接口用两个独立的电平接口(I和IB)表示。一个可以认为是主信号,另一个可以认为是从信号。主信号和从信号是同一个逻辑信号,但是相位相反。

    IBUFGDS输入缓冲器真值表

    Verilog实例化程序:

    IBUFGDS instance_name(

         .O (user_O),

         .I (user_I),

         .IB (user_IB)

    );

    相关文章

      网友评论

        本文标题:FPGA中的三种差分信号缓冲器

        本文链接:https://www.haomeiwen.com/subject/zlbkvctx.html