美文网首页
modlesim 仿真流程

modlesim 仿真流程

作者: dyg540 | 来源:发表于2018-06-06 11:20 被阅读0次

1.编写verilog文件

module adder4(cout,sum,ina,inb,cin); //4位加法器

output[3:0] sum;

output cout;

input[3:0] ina,inb;

input cin;

assign {cout,sum}=ina+inb+cin;

endmodule

--------

module count4(out,reset,clk); //4位计数器

output[3:0]  out;

input reset,clk;

reg[3:0] out;

always @(posedge clk)

begin

if (reset) out<=0;

else out<=out+1;

end

endmodule

2.编写测试文件:

adder_tp.v

`timescale 1ns/1ns

`include "adder4.v"

module adder_tp;

reg[3:0] a,b;

reg cin;

wire[3:0] sum;

wire cout;

integer i,j;

adder4 adder(sum,cout,a,b,cin);

always #5 cin=~cin;

initial

begin

a=0;b=0;cin=0;

for(i=1;i<16;i=i+1)

#10 a=i;

end

initial

begin

for(j=1;j<16;j=j+1)

#10 b=j;

end

initial

begin

$monitor($time,,,"%d+%d+%b={%b,%d}",a,b,cin,cout,sum);

#160 $finish;

end

endmodule

3.执行编译

4.在library库中对测试文件执行仿真

5.查看波形图

相关文章

  • modlesim 仿真流程

    1.编写verilog文件 module adder4(cout,sum,ina,inb,cin); //4位加法...

  • 仿真树制作工艺流程

    仿真树制作工艺流程 仿真树在建设装饰中施展着重要作用。大到旅游景区大门建筑小到个人商家农庄等。仿真树常给人们带来深...

  • CFX压缩机仿真流程

    压缩机的仿真涉及到的是可压缩流体的一个仿真,所以本次的课程主要涉及到的是可压缩流体的一个仿真流程。 1. 导入网格...

  • STDcell的仿真测试流程

    创建STDcell的library ①根据fundry提供的PDK文件,先在cadence library man...

  • Flotherm入门之仿真流程

    今天给大家简单介绍下Flotherm新项目仿真的简要流程。首先,打开Flotherm软件,打开project,新...

  • 试验数据管理系统TDM与SDM

    产品研发过程主要包括设计、仿真和试验三个阶段,每一阶段的工作均需要大量的知识经验、研发流程等,并产生大量数据。仿真...

  • 仿真演练的思考(22.3.28)

    首先要弄清楚仿真演练的目的是什么。在我看来,仿真演练能解决三个问题,一是通过常态化练习加强业务人员对业务流程熟练程...

  • 【三维虚拟仿真】与【数据模型计算仿真】

    一、三维仿真与数据仿真的差别 【三维虚拟仿真】举例:虚拟工厂,工业仿真.....其实他属于3D可视化仿真(VR),...

  • CC3200仿真调试与程序下载

    1 仿真调试 通过CC3200仿真器可以对CC3200进行仿真调试,也可以通过仿真器的串口下载程序。 仿真器如...

  • wujian100_open VCS+Verdi仿真过程示例

    wujian100_open 仿真移植 仿真环境:Ubuntu1604 仿真工具:VCS+Verdi linux ...

网友评论

      本文标题:modlesim 仿真流程

      本文链接:https://www.haomeiwen.com/subject/doqbsftx.html