美文网首页
iverilog 简单使用

iverilog 简单使用

作者: wjundong | 来源:发表于2021-07-09 09:07 被阅读0次

下载安装, 选择安装包最大的, 自带了 gtkwave.

其他平台可尝试使用包管理器直接下载, 比如:

sudo pacman -S iverilog gtkwave

示例

  • demo.v

    `timescale 1ns/1ps
    
    module demo(
        input wire a,
        input wire b,
        output wire c
    );
    
    assign c = a & b;
    
    endmodule
    
  • tb_demo.v

    `timescale 1ns/1ps
    
    
    module tb_demo();
        reg a;
        reg b;
        wire c;
    
        demo DUT(
            .a(a),
            .b(b),
            .c(c)
        );
    
    
        initial begin
            
            // 导出波形文件
            $dumpfile("wave.vcd"); 
            $dumpvars; 
    
            a = 0;
            b = 0;
            #100;
            a = 1;
            b = 0;
            #100;
            a = 0;
            b = 1;
            #100;
            a = 1;
            b = 1;
            #100;
            
        end
    
    endmodule
    
  • 仿真

    $ iverilog demo.v tb_demo.v
    $ vvp ./a.out
    $ gtkwave wave.vcd
    

相关文章

  • iverilog 简单使用

    下载[http://bleyer.org/icarus/]安装, 选择安装包最大的, 自带了 gtkwave. 其...

  • Icarus Verilog 教程

    Icarus Verilog,简称iVerilog,是比较著名的开源HDL仿真工具。这里简单介绍一下如何使用。 下...

  • iverilog

    -o : output file name, without extension, default file ty...

  • 简单使用

    创建模型 过滤器 我们有一些字段和我们想让用户筛选的基础上 名称、价格或release_date。 我们创建一个 ...

  • gorange

    数组中简单使用 map中简单使用

  • 简单使用使用kaggle

    向我这样的条件不好的可以考虑借助云gpu来加速训练,借助kaggle可以在kaggle服务器上训练数据,kaggl...

  • 零碎的小程序笔记

    目录 template的简单使用WXS的简单使用npm的简单使用倒计时js的实现wx:for的使用一些js方法记录...

  • 命令行的简单使用

    Git命令行的简单使用,仅供自己使用 pod命令行的简单使用

  • 单元测试和OCMock

    OCMock使用一、安装及简单使用:使用Cocoapod引入:pod 'OCMock' 简单使用:新建一个单元测试...

  • Alamofire类似AFNetworking的简单使用和封装

    简单的使用。简单的使用。简单的使用。注定该文弱鸡一个,求拍砖。 一、介绍 Alamofire(Swift)的前身是...

网友评论

      本文标题:iverilog 简单使用

      本文链接:https://www.haomeiwen.com/subject/gaoxpltx.html