下载安装, 选择安装包最大的, 自带了 gtkwave.
其他平台可尝试使用包管理器直接下载, 比如:
sudo pacman -S iverilog gtkwave
示例
-
demo.v
`timescale 1ns/1ps module demo( input wire a, input wire b, output wire c ); assign c = a & b; endmodule
-
tb_demo.v
`timescale 1ns/1ps module tb_demo(); reg a; reg b; wire c; demo DUT( .a(a), .b(b), .c(c) ); initial begin // 导出波形文件 $dumpfile("wave.vcd"); $dumpvars; a = 0; b = 0; #100; a = 1; b = 0; #100; a = 0; b = 1; #100; a = 1; b = 1; #100; end endmodule
-
仿真
$ iverilog demo.v tb_demo.v $ vvp ./a.out $ gtkwave wave.vcd
网友评论