美文网首页
Mac 上搭建数字电路verilog开发平台(仿真+综合)

Mac 上搭建数字电路verilog开发平台(仿真+综合)

作者: MrAlexLee | 来源:发表于2022-01-21 17:40 被阅读0次

    工具说明:

    • 代码编译:icarus-verilog
    • 代码编译仿真:Lint Verilator
    • 仿真波形查看:gtkwave
    • 综合:yosys

    打开terminal进行以下步骤

    安装icarus-verilog

    brew install icarus-verilog
    

    安装verilator

    brew install verilator
    

    安装gtkwave和xquartz

    brew install xquartz
    brew insatll gtkwave
    

    问题来了,安装好gtkwave后可以看到在应用application中已经有这个软件了。可以点击打开。但是在终端中无法直接使用gtkwave ,但是我们仿真全部都是通过命令来完成的,所以需要解决这个问题。报错如下:
    在终端中输入/Applications/gtkwave.app/Contents/Resources/bin/gtkwave,会看到报错:


    报错1

    提示我们安装Switch

    cpan install Switch
    

    安装好以后在终端输入gtkwave发现仍然报错:


    报错2

    原因就是因为我们没有添加环境变量,输入一下命令打开bash_profile,进行编辑

    open ~/.bash_profile
    

    添加 export PATH=/Applications/gtkwave.app/Contents/Resources/bin:$PATH
    然后输入命令进行激活

    source ~/.bash_profile
    

    接下来就可以使用了

    安装yosys

    brew install graphviz
    brew install yosys
    

    相关文章

      网友评论

          本文标题:Mac 上搭建数字电路verilog开发平台(仿真+综合)

          本文链接:https://www.haomeiwen.com/subject/ozoehrtx.html