美文网首页
简单有限状态机的VHDL

简单有限状态机的VHDL

作者: 莞工米兔 | 来源:发表于2019-06-18 19:09 被阅读0次

按状态机输出来分,可分为moore型和mealy型,moore型输入仅与当前的状态有关,mealy型输出不仅与当前的状态有关还与输入信号有关。换言之,有限状态机的输出(input)必定与现状(cs)有关,图中明显一个状态对应两种输出,明显输出与输入信号有关,所以是mealy型。

VHDL部分

library IEEE;

use ieee.std_logic_1164.all;

entity FSM is

port( clk,rst,input : in std_logic;

        y : out integer range 0 to 4)

end entity;

architecture bhv of fsm is

type fsm_st is (s0,s1,s2,s3);   --定义新的数据类型

signal cs :fsm_st;  --说明部分(两句话),一般放在结构体的architecture和begin之间

begin

p1:process(clk,rst)  --clk,rst信号敏感进程(时序进程)

begin

    if rst='0' then cs<=s0; --异步复位信号

    elsif clk'event and clk='1' then  --FSM是以同步时序方式工作的

        case cs is

        when s0 => if input='0' then cs<=s0;  else cs<=s1; end if;

        when s1 => if input='0' then cs<=s1; else cs<=s2;end if;

        when s2 => if input='0' then cs<=s2; else cs<=s3; end if;

        when s3 => if input='0' then cs<=s3;else cs<=s0;end if;

        end case;

end process;

p2:process(input,cs) --组合进程

begin

case cs  is

        when s0=>if input='0' then y<=4; else y<='1';end if;

        when s1=>if input='0' then y<=4; else y<='1'; end if;

        when s2=>if input='0' then y<=4; else y<='1'; end if;

        when s3=>if input='0' then y<=4; else y<='1'; end if;

end case;

end process;

end bhv;

相关文章

  • 简单有限状态机的VHDL

    按状态机输出来分,可分为moore型和mealy型,moore型输入仅与当前的状态有关,mealy型输出不仅与当前...

  • VHDL语法简单总结

    一个VHDL程序代码包含实体(entity)、结构体(architecture)、配置(configuration...

  • 有限状态机与JavaScript

    有限状态机与JavaScript 有限状态机是一种很有用的编程模型,简单来说,我理解的有限状态机一个显著的作用是降...

  • 数字IC设计流程

    基于standcell的简单ASIC设计流程如下 算法模型(C/C++/Matlab) RTL HDL(VHDL/...

  • VHDL语言详解(修正版)

    VHDL语言详解(修正版):在上传一份VHDL课件分享给大家目录: U创论坛下载-VHDL课件.pdf

  • vhdl入门(一)-vhdl的代码结构

    vhdl入门(一)-vhdl的代码结构 @(study)[Maxe, markdown_study, LaTex_...

  • 跟诸子学游戏 游戏中常用的人工智能算法

    有限状态机: ①:最简单的有限状态机实现是在类似update的方法中调用switch方法,判断状态,调用不同的方法...

  • 硬件描述语言的延迟语句

    (1)用vhdl写延时a <= transport b after x ns;vhdl延时要写单位;(2)veri...

  • 有限状态机

    有限状态机,一个比较高级的概念,用来解决某些问题实在太好用了。 什么是有限状态机 简单说就是,一个状态数量有限的机...

  • 2017-11-14 11周二

    上午上了计组和网络,下午上了VHDL和计组实验,面对VHDL,我真的无话可说,觉得难,尤其是实验箱的操作,自己没学...

网友评论

      本文标题:简单有限状态机的VHDL

      本文链接:https://www.haomeiwen.com/subject/tmqqqctx.html