美文网首页
实验5-3 译码器

实验5-3 译码器

作者: JustinZhang_ | 来源:发表于2018-11-15 17:39 被阅读0次

实验5-3:74LS138设计3:8译码器(行为描述)

`timescale 1ns / 1ps
//////////////////////////////////////////////////////////////////////////////////
// Company: 
// Engineer: 
// 
// Create Date:    15:41:01 11/15/2018 
// Design Name: 
// Module Name:    Trial_53 
// Project Name: 
// Target Devices: 
// Tool versions: 
// Description: 
//
// Dependencies: 
//
// Revision: 
// Revision 0.01 - File Created
// Additional Comments: 
//
//////////////////////////////////////////////////////////////////////////////////
module Trial_53(
    A,B,C,Y,G1,G2A,G2B
    );
     input wire A,B,C;
     input wire G1,G2A,G2B;
     output reg [7:0] Y;
     
     always @(*)
        begin
            Y=8'b11111111;
            if (G1&(~G2A)&(~G2B))
                begin
                    if((~A)&(~B)&(~C))     Y[0]=0;
                    else if((~A)&(~B)&(C)) Y[1]=0;
                    else if((~A)&(B)&(~C)) Y[2]=0;
                    else if((~A)&(B)&(C))  Y[3]=0;
                    else if((A)&(~B)&(~C)) Y[4]=0;
                    else if((A)&(~B)&(C))  Y[5]=0;
                    else if((A)&(B)&(~C))  Y[6]=0;
                    else if((A)&(B)&(C))   Y[7]=0;
                end
        end
endmodule

管脚约束文件

NET "G1"  IOSTANDARD = LVCMOS18;
NET "G2A" IOSTANDARD = LVCMOS18;
NET "G2B" IOSTANDARD = LVCMOS18;
NET "C"   IOSTANDARD = LVCMOS18;
NET "B"   IOSTANDARD = LVCMOS18;
NET "A"   IOSTANDARD = LVCMOS18;

NET "A"   LOC = W4;
NET "B"   LOC = V4;
NET "C"   LOC = V3;
NET "G1"  LOC = T3;
NET "G2A" LOC = U3;
NET "G2B" LOC = T4;


NET "Y[7]" IOSTANDARD = LVCMOS18;
NET "Y[6]" IOSTANDARD = LVCMOS18;
NET "Y[5]" IOSTANDARD = LVCMOS18;
NET "Y[4]" IOSTANDARD = LVCMOS18;
NET "Y[3]" IOSTANDARD = LVCMOS18;
NET "Y[2]" IOSTANDARD = LVCMOS18;
NET "Y[1]" IOSTANDARD = LVCMOS18;
NET "Y[0]" IOSTANDARD = LVCMOS18;

NET "Y[7]" LOC = R1;
NET "Y[6]" LOC = P2;
NET "Y[5]" LOC = P1;
NET "Y[4]" LOC = N2;
NET "Y[3]" LOC = M1;
NET "Y[2]" LOC = M2;
NET "Y[1]" LOC = L1;
NET "Y[0]" LOC = J2;


NET "G1"  PULLDOWN;
NET "G2A" PULLDOWN;
NET "G2B" PULLDOWN;
NET "C"   PULLDOWN;
NET "B"   PULLDOWN;
NET "A"   PULLDOWN;

相关文章

  • 实验5-3 译码器

    实验5-3:74LS138设计3:8译码器(行为描述) 管脚约束文件

  • 数字电路实验(04)中规模组合逻辑电路实验3:译码器及其应用

    一.实验要求 1.1.实验目的 认识译码器的定义、功能及基本使用; 熟悉译码器(74HC138)的功能和级联。 1...

  • 实验三 组合逻辑电路应用——译码器、数据选择器

    实验报告来自电子科技大学中山学院 _ 数字逻辑电路设计课程 1.实验目的与要求通过实验,能够掌握译码器和选择器的原...

  • 译码器的应用

    三种基本译码器 在译码器基础中,解释了完全译码器(n-2n)的基本工作原理,即:当使能端有效时: Yi = mi ...

  • 编码器

    概述 在译码器基础和译码器应用中分别对译码器的真值表、电路图、逻辑符号等进行了较为详细的讲解。编码可以看成是译码的...

  • 减肥打卡5

    5-3

  • 4.3每日站报

    DONE完成大物实验的报告做完高数作业数电的编码器部分TODOSTM32的定时器中断部分的学习数电译码器部分的学习

  • 独立按键代码移植-李颖超-0113

    一、理解实验原理,读懂大板独立按键代码 二、根据电路图找出大板与小板的不同之处 大板是八个数码管,通过3-8译码器...

  • 译码器基础

    初次接触译码器的童鞋可能会问,译码器是什么? 首先,我们需要明确,电脑和人类沟通,需要转换。因为人类对于抽象语言比...

  • 爷爷奶奶帮记5

    煎饼5-3个烧饼 +热水

网友评论

      本文标题:实验5-3 译码器

      本文链接:https://www.haomeiwen.com/subject/wetufqtx.html