美文网首页UVM实战(张强)
第五章 UVM验证平台的运行

第五章 UVM验证平台的运行

作者: Shankssss | 来源:发表于2020-03-11 23:54 被阅读0次

    5.1 phase机制

    UVM中的phase

    (1)UVM中的phase,按照其是否消耗仿真时间($time打印出的时间)的特性,可以分成function phase 和 task phase。 图中灰色背景所示的是task phase,其他为function phase。

    (2)对于function phase来说,在同一时间只有一个phase在执行;但是在task phase中,run_phase和pre_reset_phase等12个小的phase并行运行。后者称为动态运行(run-time)的phase。

    (3)UVM在build_phase中做uvm_component及其派生类变量的实例化工作。uvm_object可以在任何phase完成。

    (4)除了build_phase之外,所有function phase都是自下而上执行的。

    (5)对于同一层次的、具有兄弟关系的component,执行顺序是按照字典序的,与实例化的顺序无关。

    (6)UVM采用深度优先地原则,如i_agt实例化时名字为"i_agt",scb为"scb",则i_agt的build_phase先执行,执行完毕后,再执行driver,monitor,sequence的build phase,全部执行完毕后再执行scoreboard的build phase。

    (7)super.build_phase:自动获取通过config_db::set设置的参数。如果要关掉这个功能,可以在自己的build_phase中不调用super.build_phase。

    (8)对于直接扩展自uvm_component的类,出build_phase外,完全可以不必加上super.xxxx_phase语句。

    (9)jump函数的参数,uvm_pre_reset_phase::get()后的所有phase都可以。

    (10)<sim command> +UVM_PHASE_TRACE:对phase进行调制。

    (11)uvm_top.set_timeout(500ns, 0):设置超时时间,第二个参数表示此设置是否可以被其后的其他set_timeout语句覆盖。

                默认的超时退出时间:

                        `define UVM_DEFAULT_TIMEOUT 9200s

                        <sim command> +UVM_TIMEOUT="300ns, YES"

    5.2 objection机制

    (1)对于run-time的phase,如果想执行一些耗费时间的代码,那么要在此phase下任意一个component中至少提起一次objection。

    (2)如果UVM发现某phase没有提起任何objection,那么将会直接跳转到下一个phase中。

    (3)如果12个动态运行的phase有objection被提起,那么run_phase根本不需要raise_objection就可以自动执行。

    (4)在一个实际的验证平台中,通常会在以下两种objection的控制策略中选择一种:

                    1. 在scoreboard中进行控制。

                    2. 在sequence中提起sequencer的objection,当sequence完成后,再撤销此objection。一般情况下只在sequence中控制objection。

    (5)一个phase对应一个drain_time,没有设置的情况下,drain_time的默认值为0。

                "base_test.sv"

                task base_test::main_phase(uvm_phase phase);

                   phase.phase_done.set_drain_time(this, 200);

                endtask

    (6) objection的调试

                <sim command> +UVM_OBJECTION_TRACE

    5.3 domain的应用

    (1)domain只能隔离run-time的phase,其他phase还是同步的,即run_phase和function_phase是同步的。

    (2)class B extends uvm_component;

                       uvm_domain     new_domain;

                       `uvm_component_utils(B)

                       function new(string name, uvm_component parent);

                                super.new(name, parent);

                                new_domain = new("new_domain");

                       endfunction

                       virtual function void connect_phase(uvm_phase phase);

                                set_domain(new_domain);

                       endfunction

                set_domain将B加入到此domain中。

    (3)phase的跳转只局限于某一个domain中。

    相关文章

      网友评论

        本文标题:第五章 UVM验证平台的运行

        本文链接:https://www.haomeiwen.com/subject/fkbcjhtx.html