

可以写在interface里
assert_rst: assert property (disable iff(!check_en))
@(posedge clk) ($rose(rst) |=> rst[*4])
else
$error("rst error because it asserted less than 5 clk cycles!");
property no_xz_when_vld();
@(posedge clk) ~rst & vld |-> !$isunknown(data);
endproperty
check_x_and_z: assert property(no_xz_when_vld);
网友评论