美文网首页
systemverilog assertion 断言和覆盖率

systemverilog assertion 断言和覆盖率

作者: Poisson_Lee | 来源:发表于2019-06-23 10:23 被阅读0次
Screenshot 2019-06-22 at 3.19.48 PM.png Screenshot 2019-06-23 at 10.22.28 AM.png

可以写在interface里

assert_rst: assert property (disable iff(!check_en))
  @(posedge clk) ($rose(rst) |=> rst[*4])
else
  $error("rst error because it asserted less than 5 clk cycles!");
property no_xz_when_vld();
  @(posedge clk) ~rst & vld |-> !$isunknown(data);
endproperty
check_x_and_z: assert property(no_xz_when_vld);

相关文章

网友评论

      本文标题:systemverilog assertion 断言和覆盖率

      本文链接:https://www.haomeiwen.com/subject/rlkiqctx.html